How can I use IEEE.std_logic_textio.all?

Joined
Sep 18, 2007
Messages
2
Reaction score
0
In my testbench I want to generate random stimulus. (I accomplished this.)
Then, I want to write this random stimulus to a vector file.
Then, I want to read that vector file and assign the generated stimulus to signals or variables.

I have some problems on textio? Do you know/have any useful textio vhdl code?

Thanks.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,054
Latest member
TrimKetoBoost

Latest Threads

Top