how to convert real to std_logic

Joined
Oct 14, 2009
Messages
2
Reaction score
0
hello
i'm new in vhdl
i want to convert real to std_logic
(in fact i want to put the real value to the output so first i should convert
it to std_logic right?)
how can i do it?
thanks.
 
Joined
Oct 14, 2009
Messages
2
Reaction score
0
thanks but i couldnt check it because
i have problem in converting std_logic to real!!!!
i did this:
real variable := to_real(to_integer(signed(std_logic value)));

but with this error:
Error (10305): VHDL Type Conversion error at xorgate.vhd(54): cannot convert type "std_logic" to type "SIGNED"

what's this????
thanks...
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,733
Messages
2,569,440
Members
44,831
Latest member
HealthSmartketoReviews

Latest Threads

Top