"IF" condition & STD_LOGIC_VECTOR

Joined
Mar 15, 2007
Messages
12
Reaction score
0
Hi!
I have one question about IF condition and STD_LOGIC_VECTOR compatibillities. So, I try to compare two vectors: A and B. They are 32 bits long:

Process(...)
begin
..
IF (A <= B) THEN....
..
END IF;
..
end process;

Functions <=, <,... for the vectors is implemented in package "unsigned".
Multisim don't give any error, but this comparison just don't work. All logic is goot, just condition...Have you any thoughts?

Thanks
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,054
Latest member
TrimKetoBoost

Latest Threads

Top