Including Package in VHDL code as reference

A

a_Conan

Hi,
I find some times VHDL librarries or packages, such as convert.vhd. My
question how can I include these packages in my work as reference as:

use IEEE.std_logic_1164.all;

Thank you
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,776
Messages
2,569,603
Members
45,189
Latest member
CryptoTaxSoftware

Latest Threads

Top