Instances

Joined
Mar 11, 2010
Messages
6
Reaction score
0
Hey guys.
Is there any way to instantiate a component in VHDL without using all defining parameters (ports) ?
For example having a component(clk, rst, data_in, data_out, merry_christmas) but when instancing to use it as component (clk, data_in, merry_christmas).
Thanks for your time.
 
Joined
Jan 29, 2009
Messages
152
Reaction score
0
you could do something like,
Code:
compMap: component port map( 
clk => clk, 
data_in => data_in, 
data_out => [B]open[/B], 
merry_christmas => merry_christmas);
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,582
Members
45,057
Latest member
KetoBeezACVGummies

Latest Threads

Top