vhdl subtractor

Joined
Dec 9, 2009
Messages
18
Reaction score
0
Hello
Can anyone help me design an 8-bit subtractor (that has 16 output bit) using an 8 bit adder in vhdl?
thank you
how do i take the 2's complement?
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,055
Latest member
SlimSparkKetoACVReview

Latest Threads

Top