Wait on...

V

VHDL User

Is there something that can be done with a WAIT ON statement that CANNOT
be done using a sensitivity list to a process other than allowing a
dynamic sensitivity (order of Wait statements in process)
 
A

Allan Herriman

Is there something that can be done with a WAIT ON statement that CANNOT
be done using a sensitivity list to a process other than allowing a
dynamic sensitivity (order of Wait statements in process)

For synthesisable code, no.

In simulation, yes, since you can say:

wait [on {SIGID,}] [until expr] [for time];

e.g.

wait until foo for 1 ns;

which gives you a timeout.

Regards,
Allan.
 
E

Edmond Cote

Allan said:
Is there something that can be done with a WAIT ON statement that CANNOT
be done using a sensitivity list to a process other than allowing a
dynamic sensitivity (order of Wait statements in process)


For synthesisable code, no.

In simulation, yes, since you can say:

wait [on {SIGID,}] [until expr] [for time];

e.g.

wait until foo for 1 ns;

which gives you a timeout.

Regards,
Allan.


How about with behavioural synthesis tools?.. can anyone suggest any
good ones?

Thanks,

Ed
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,776
Messages
2,569,603
Members
45,190
Latest member
Martindap

Latest Threads

Top