Benchtest dependign on configuration

O

Olaf Petzold

Hello,

is there a way to find out inside the bench test which configuration is
used/mapped for the dut?
E.g. a feature is generated by generic and I want to use the same TB for
both (feature on/off).

Thanks
Olaf
 
M

Mike Treseler

Olaf said:
Hello,

is there a way to find out inside the bench test which configuration is
used/mapped for the dut?
E.g. a feature is generated by generic and I want to use the same TB for
both (feature on/off).

I would have the testbench drive
the DUT generic map with
whatever generic value is appropriate.

-- Mike Treseler
 
O

Olaf Petzold

Thanks Mike,
I would have the testbench drive
the DUT generic map with
whatever generic value is appropriate.

you mean to instance two duts, configured by generic map each? No use of
configurations to swap between both?

Thanks
Olaf
 
M

Mike Treseler

Olaf said:
you mean to instance two duts, configured by generic map each? No use of
configurations to swap between both?

I would either test
two direct instances in one sim

vsim test_foo;

or script multiple sims with appropriate
generic constants driven from the command line.

vsim -Gsome_feature=true test_foo;
vsim -Gsome_feature=false test_foo;

-- Mike Treseler.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,774
Messages
2,569,596
Members
45,143
Latest member
SterlingLa
Top