about use ieee.numeric_std.all

L

lezah

Hi, I am using Maxplus II, but when I include this "use
ieee.numeric_std.all" in my code,
it has the following error message:
Library error: primary unit "numeric_std" denoted by prefix "IEEE"
must exist in the library.

Where can I download the ieee.numeric_std.all ?
Thx
 
J

Jonathan Bromley

lezah said:
Hi, I am using Maxplus II, but when I include this "use
ieee.numeric_std.all" in my code,
it has the following error message:
Library error: primary unit "numeric_std" denoted by prefix "IEEE"
must exist in the library.

This is a limitation of MaxPlus+II, and several other
manufacturer-specific tools of the same era.

You can't add the package even if you had the source
code, because the synthesis tool needs to have the
library built-in for performance reasons.

However, you should find that you can

use ieee.std_logic_arith.all;

instead. This library was the predecessor of numeric_std
and basically works in the same way (new types SIGNED and
UNSIGNED; operators "+", "-", "<" etc) but the conversion
functions have different names:

numeric_std std_logic_arith
================================
to_integer conv_integer
to_(un)signed conv_(un)signed
resize extend

I'm not sure whether std_logic_arith.extend has the same
functionality as numeric_std.resize - I would need to
look that up, and it's the end of Friday, so I
can't be bothered :)
--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * Perl * Tcl/Tk * Verification * Project Services

Doulos Ltd. Church Hatch, 22 Market Place, Ringwood, Hampshire, BH24 1AW, UK
Tel: +44 (0)1425 471223 mail: (e-mail address removed)
Fax: +44 (0)1425 471573 Web: http://www.doulos.com

The contents of this message may contain personal views which
are not the views of Doulos Ltd., unless specifically stated.
 
M

Mike Treseler

lezah said:
Hi, I am using Maxplus II, but when I include this "use
ieee.numeric_std.all" in my code,
it has the following error message:
Library error: primary unit "numeric_std" denoted by prefix "IEEE"
must exist in the library.

Where can I download the ieee.numeric_std.all ?

It comes with Quartus, along with many other
impovements for VHDL synthesis.

-- Mike Treseler
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,054
Latest member
TrimKetoBoost

Latest Threads

Top