beginner: 3:8 decoder with enable

Joined
Nov 5, 2007
Messages
5
Reaction score
0
i want to write the architecture of 3:8 decoder with enable ...

anyone can help ,

i wrote the entity
Code:
ENTITY Decoder3_8_Enable IS
  port (a: in std_logic_vector(2 downto 0);
        e: in std_logic ;
        y:out std_logic_vector(7 downto 0));
END ENTITY Decoder3_8_Enable;
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,582
Members
45,057
Latest member
KetoBeezACVGummies

Latest Threads

Top