DDR2 VHDL model

S

Sean Durkin

Hi,

on the following link

http://www.samsung.com/products/sem...omponent/256Mbit/K4T56083QF/K4T56083QF.htm#ge

there are DDR2 memory VHDL simulation models from Samsung available.

But the compressed download files only contain .dat files.
Are these files suitable for functional simulations ?
I have always simulated with "real" vhdl models ...
These are precompiled models and only work in the corresponding
simulator. I.e., if it says the model is for ModelSim SunOS, chances are
it only works when you simulate in ModelSim SunOS.

But Samsung is pretty cooperative if you ask them to supply precimpiled
models for let's say ModelSim 6.2 Windows. They'll make models for you,
but they won't supply you with the source code.

I've mostly used Verilog-models from Micron, which are very good.
Fortunately, I never had to change anything in them, so my lack of
Verilog-knowledge didn't hurt.

cu,
Sean
 
N

Nicolas Matringe

Sean Durkin a écrit :

Hi,

on the following link

http://www.samsung.com/products/sem...omponent/256Mbit/K4T56083QF/K4T56083QF.htm#ge

there are DDR2 memory VHDL simulation models from Samsung available.

But the compressed download files only contain .dat files.
Are these files suitable for functional simulations ?
I have always simulated with "real" vhdl models ...
[...]
I've mostly used Verilog-models from Micron, which are very good.
Fortunately, I never had to change anything in them, so my lack of
Verilog-knowledge didn't hurt.

I am currently working with a DDR2 VHDL model from Hynix (but I don't
have the slightest cue about where it was downloaded)


Nicolas
 
A

ALuPin

Hi Rick,

I have compiled the Micron model "mt47h64m16.vhd" and get the following
warnings (ModelSim Lattice 6.2c) :


# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(796):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(797):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(800):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(801):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(802):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(803):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(805):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(807):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(811):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(812):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(813):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(816):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(817):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(818):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(824):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(827):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(835):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(841):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(842):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(848):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(849):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(851):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(854):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(859):
(vcom-1236) Shared variables must be of a protected type.
# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(863):
(vcom-1236) Shared variables must be of a protected type.

Is there any conflict with that warnings ?
Rgds
Andre
 
J

Jonathan Bromley

I have compiled the Micron model "mt47h64m16.vhd" and get the following
warnings (ModelSim Lattice 6.2c) :

# ** Warning:
R:/EDA/DDR2Controller/FreeModelFoundry_DDR2Model/mt47h64m16.vhd(796):
(vcom-1236) Shared variables must be of a protected type.

Is there any conflict with that warnings ?

Try setting your ModelSim compile for VHDL-93 mode (vcom -93 ...)
Protected types were introduced for VHDL-2002, which is
probably your default setting.
--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK
(e-mail address removed)
http://www.MYCOMPANY.com

The contents of this message may contain personal views which
are not the views of Doulos Ltd., unless specifically stated.
 
A

ALuPin

any conflict with that warnings ?
Try setting your ModelSim compile for VHDL-93 mode (vcom -93 ...)
Protected types were introduced for VHDL-2002, which is
probably your default setting.

Hi Jonathan,

yes, the default setting is VHDL.2002, changing it to VHDL-93
removes the warnings.

Most models seems not to be written for VHDL-2002 yet ...

Rgds
Andre
 
Joined
Apr 16, 2009
Messages
1
Reaction score
0
Hi,
I am also getting Warning for shared variable while compiling. can you pls tell how to change the default setting from VHDL 2002 to VHDL-93 in Modelsim Questa 6.4c?

Thanks
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,054
Latest member
TrimKetoBoost

Latest Threads

Top