Generation of 4.096MHz clock from 7.680MHz clock

Joined
Nov 24, 2008
Messages
8
Reaction score
0
Hi friends,
In my design, I require an output clock 4.096MHz to be generated from 7.680MHz clock. I tried it but I am not getting acceptable output. Can anybody suggest something?

regards
pankaj
 
Last edited:
Joined
Jul 8, 2008
Messages
23
Reaction score
0
Hi,
What type of FPGA are you using?
Have you thought about using a PLL to do this?
 
Joined
Mar 10, 2008
Messages
348
Reaction score
0
Well - it will "cost you" 4 DCM's in a XiLinx FPGA to multiply the frequency with 8:

With the new frequency 7.680 * 8 = 61.440 MHz can you get the wanted result by dividing with 15.
 
Joined
Nov 24, 2008
Messages
8
Reaction score
0
Hi Ardni...thanks for showing interest...

I am using Altera cyclone II series FPGA for my design. The PLL doesn't support frequencies in the range of 4MHz and 7MHz. PLL works with 10MHz and above frequency. Try yourself.

pankaj
 
Joined
Jul 8, 2008
Messages
23
Reaction score
0
Hi,
Thats a pity, as it can be implemented using an enhanced PLL on other families. I have done this on stratix devices without problems. But as you say the fast PLL type is only supported on Cyclone II.

Anyway if I think of anything I´ll post it.
 
Joined
Nov 24, 2008
Messages
8
Reaction score
0
Hi jeppe...In my cyclone II device, I am unable to multiply 7.68MHz frequency. PLL is not accepting 7.68MHz as input. I think minimum value it accept is 10MHz.
If you come across some solution, let me know.

thanks
pankaj
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,582
Members
45,057
Latest member
KetoBeezACVGummies

Latest Threads

Top