Multiplication of 1 bit with vector

Joined
Jul 24, 2009
Messages
2
Reaction score
0
Hi all...
I am presently working on Matched filter.. the task is, matched filter should be implemented witout using the Core Generator..I am using Xilinx ISE 9.2i..
and the coeff for matched filter are floating point type.. can anybody please help in writting a code for multiplication of std_logic_vector( 11 downto 0) with std_logic... is it suitable to use multiplication operator (*) for this?
please help in this regard....
thanks in advance...

regards,
Renu
 
Joined
Jul 27, 2009
Messages
4
Reaction score
0
a single std_logic can only take values real values i.e. '1' or '0'...

maybe a simple if statement will solve your problem

Code:
signal theBit : std_logic;
signal theVector, ans : std_logic_vector(11 downto 0);  

if(theBit = '1') then
	ans <= theVector
else
	ans <= (others => '0');
end if;

p.s. multiplication is a intensive operation and should avoided if another option is present...
 
Joined
Jul 24, 2009
Messages
2
Reaction score
0
MAC without using the multiplier

hi ...
can any body please tell me, how to implement a MAC without using a actual multiplier for a filter with delay taps included...waiting for ur reply.. thanks..
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,582
Members
45,065
Latest member
OrderGreenAcreCBD

Latest Threads

Top