Problem with ModeltSim XE

Z

zlotawy

Hello,
I would like to simulate my project in Xilinx ISE 9.2. I installed ModelSim
XE 6.2g.

When I set Behavioral Simulation and click "Simulate", the window of MSXE
open and I get message that my own package could not be loaded.


There is problem because i use my own package in the project. I tried to add
this package in MSXE but it does not see it.

Do You know 3 steps how to make it?


Thanks
zlotawy
 
M

Mike Treseler

zlotawy said:
There is problem because i use my own package in the project. I tried to add
this package in MSXE but it does not see it.

Do You know 3 steps how to make it?

1. vcom my_package.vhd
2. vcom my_tb.vhd
3. vsim my_tb

-- Mike Treseler
 
Z

zlotawy

Uzytkownik "Mike Treseler said:
1. vcom my_package.vhd

it works
2. vcom my_tb.vhd

message: "vcom-1136) Unknown identifier "my_package".

Library work is created and it contains "my_package". But i can not add
anything vhdl file which contains "use my_package.all".

:(

zlotawy
 
K

KJ

zlotawy said:
message: "vcom-1136) Unknown identifier "my_package".

Library work is created and it contains "my_package". But i can not add
anything vhdl file which contains "use my_package.all".

use work.my_package.all

KJ
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,770
Messages
2,569,584
Members
45,075
Latest member
MakersCBDBloodSupport

Latest Threads

Top