string to std_logic_vector

Joined
Jun 22, 2006
Messages
1
Reaction score
0
Hello
I'm trying to convert a string into a std_logic_vector and I don't know how to do it.
I found a function "to_std_logic_vector" supposed to be in the package "txt_util", but I can't find it.
Could anybody help me???
Thank you
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,054
Latest member
TrimKetoBoost

Latest Threads

Top