working with byte length in VHDL

V

veeru

Hello i am working on compression & decompression algorithm.
I have to work on 8 bits.
I am confused how to use textio by which i can read a complete text
file with it.
if u have a example code please post me.
Can anybody suggest me.
I also tried with verilog but $fgetc operand is not supported by my
simulator.

I am currenlty working on Xilinx ISE 9.2.

Please give a suggestion
Thanks
Sagar
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,774
Messages
2,569,596
Members
45,128
Latest member
ElwoodPhil
Top