XML for VHDL documention and structural description of Hardware SoC

A

Amontec Team

Hi VHDL GNU men,

Amontec is interested to build an auto-documentation of our VHDL
libraries, cell-by-cell.

The documentation will stay basic, like :
general description
port description
generic description
implementation description
license description
note description

The goal is to do a interface documentation for the end-user.

Now, we know the power of XML for this kind of documentation.
The advantage of XML is the structural view like VHDL. Having a VHDL
library documented in a XML format, we will be able to describe the
hardware of a SoC very quickly, and to ask XML to re-generate a VHDL
concatenated file of our XML description ... oopps)

The start-point will becomes the end-point (VHDL bottom-up design to XML
up-down design)

I will be interested if some VHDL men have a VHDL to XML parser,
thinking documentation only.

Or is that better to do a parser to correct my VHDL libraries inserting
directly the XML format in the vhdl comment.

Let me know if some are interested to work with Amontec on this JOB.

Laurent Gauch
Amontec Team Manager
www.amontec.com
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,581
Members
45,056
Latest member
GlycogenSupporthealth

Latest Threads

Top