automatic documentation for vhdl

K

kjangkun

Hi there!
I would like to know if there are any automatic documentation
generators
similar to Doxygen for vhdl.

Many thanks
 
H

HT-Lab

Hi there!
I would like to know if there are any automatic documentation
generators
similar to Doxygen for vhdl.

The only one I know of is VHDLDOC
(http://schwick.web.cern.ch/schwick/vhdldoc/) but this generates HTML which
is probably not something you want. If there isn't one and you have access
to Modelsim then have a look at vcom's gen_xml switch. You might be able to
use the XML output to put something together yourself? Nice weekend project
:)

Hans
www.ht-lab.com
 
M

Martin Thompson

Hi there!
I would like to know if there are any automatic documentation
generators
similar to Doxygen for vhdl.

I'm using Naturaldocs - it doesn't understand VHDL directly, although
one day I might get around to writing an extension for it. However, it
does allow you to use very natural comments in your code (I even put
ascii art timing diagrams in :) and produce nice HTML out the back
end with an index and even a search engine! Most of the other doc
generators I looked at were either full of weird symbols to make it
work, which makes the code look bizarre to outsiders who pick it up,
or worked very badly on Windows, which is a bad thing where I work...

With ND, I have an emacs macro which takes my entity defintion (with
comments on the end of each port/generic item) and creates a
Naturaldocs comment automatically like this:

-- Entity: adder
-- Port:
-- clk - clock signal
-- A - input A
-- B - input B
-- Y - the result of adding A+B
-- then I can write more documentation here...

and ND creates me a nicely formatted set of HTML files. So the
incremental effort of using ND is very small, given you've already
written some decent comments in your code (we all do that don't we :)

Cheers,
Martin
 
B

Bill Mills

I'm using Naturaldocs - it doesn't understand VHDL directly, although

I'd like to cast a second vote for Naturaldocs.

Also, with the latest version, you can display Visio digrams (just
save as one of the supported formats) in the HTML files, and place
hyper links in your comments/descriptions. Develop your design
description document in Naturaldocs, and the framework for the design
will be ready and waiting for the VHDL code! The result is more of a
"living document" instead of a Word document that will be updated at
the end of the design phase.

Good Luck
Bill
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,774
Messages
2,569,598
Members
45,144
Latest member
KetoBaseReviews
Top