ghdl no function declarations for operator "and"

Joined
Mar 24, 2008
Messages
2
Reaction score
0
i'm using ghdl for linux and haven't been able to get the "and" or "or" operators to work.

sample code:

entity and_gates is
port(A: in integer := 1; B:in integer := 1; D: in integer := 0; E:eek:ut bit);
end and_gates;

architecture gates of and_gates is
signal C: bit;
begin
C <= A and B;
E <= C and D;

end gates;

when compiling i get the error

learn.vhdl:9:15: no function declarations for operator "and"
learn.vhdl:10:16: no function declarations for operator "and"

any ideas?

or maybe you could suggest another vhdl simulator for linux?
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,774
Messages
2,569,596
Members
45,135
Latest member
VeronaShap
Top