Verilog PWM DC motor

Joined
Feb 24, 2009
Messages
2
Reaction score
0
Dear
Does anyone knows how to write the verilog code of PWM to control the DC motor

Regards

NB: The DC motor could be driven by an H-Bridge
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Similar Threads

Can't fix temperature sensor code 0
PID controller for DC motor 0
Adaptation from PI output to PWM??? 0
stepper motor 0
Code efficiency 3
PWM using FPGA 10
Very fast PWM in Cyclone III FPGA 2
Dual Edged Counter 6

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,055
Latest member
SlimSparkKetoACVReview

Latest Threads

Top