A constant value of 0 in block

Y

YiQi

Hello, I have trouble during "Low Level Synthesis" of my code. It gets
me
following warings:

WARNING:Xst:1989 - Unit <memoryctr>: instances <Mcompar__n0319>,
<Mcompar__n0290> of unit <LPM_COMPARE_1> are equivalent, second
instance is removed
WARNING:Xst:1710 - FF/Latch <memData_8_17> (without init value) has a
constant value of 0 in block <memoryctr>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch
<memData_8_16> (without init value) has a constant value of 0 in block
<memoryctr>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch
<memData_5_17> (without init value) has a constant value of 0 in block
<memoryctr>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch
<memData_4_17> (without init value) has a constant value of 0 in block
<memoryctr>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch
<memData_3_17> (without init value) has a constant value of 0 in block
<memoryctr>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch
<memData_2_17> (without init value) has a constant value of 0 in block
<memoryctr>.

WARNING:Xst:1294 - Latch <Mtridata_sramIO1_0> is equivalent to a wire
in block <memoryctr>.
.... ....(from <Mtridata_sramIO1_0> to <Mtridata_sramIO1_15>)
WARNING:Xst:1294 - Latch <Mtridata_sramIO1_15> is equivalent to a wire
in block <memoryctr>.

WARNING:Xst:1710 - FF/Latch <X_31> (without init value) has a constant
value of 0 in block <memoryctr>.

WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <X_9>
(without init value) has a constant value of 0 in block <memoryctr>.
.... ...(from <X_9> to <X_30>)
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <X_30>
(without init value) has a constant value of 0 in block <memoryctr>.

WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <Y_11>
(without init value) has a constant value of 0 in block <memoryctr>.
.... ...(from <Y_11> to <Y_31>)
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <Y_31>
(without init value) has a constant value of 0 in block <memoryctr>.

mostly are having a constant value of 0 in block <XXX>.

The program is target in a Spartan3 starter board to read and/or write
8 addresses after the incoming address(currentX, currentY) chanages.
Although it isn't follow the coding examples, it setup as a state
machine.

Any help on this would be greatly appreciated.
Thanks very much in advance.

YiQi

p.s. The code is in here:
http://groups.google.com/group/comp.arch.fpga/msg/ab345e557ac3e3d3?
 
Joined
Jun 2, 2011
Messages
10
Reaction score
0
These synthesis warnings can be ignored as long as it is intended that these signals are tied to a constant values. What is the problem with the design? Does it work?
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,579
Members
45,053
Latest member
BrodieSola

Latest Threads

Top