building hierarcy error

Joined
Feb 23, 2012
Messages
3
Reaction score
0
hello,

i've buit two components and ensured that they are doing their functionality well..
now i'm trying to connect them together in a new vhdl..
i already set the ports but i've nothing to write in the architecture body
i got this error message ( Formal port "clk" has OPEN or no actual associated with it.)
this msg is repeated for every i/p port as happened with the clk

any idea how to overcome this..
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,776
Messages
2,569,603
Members
45,189
Latest member
CryptoTaxSoftware

Latest Threads

Top