Creating Library and Config Specification

R

Roberto Gallo

Hello everyone,

How can I create a VHDL library on Quartus II 2.0? I would like to have
my packages and entities in other place than "Work".
I have other question: Does Quartus support VHDL configuration
specification and declaration?

Thank you.
Roberto Gallo.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,055
Latest member
SlimSparkKetoACVReview

Latest Threads

Top