Digilent Spartan II demo board push button

C

Clyde

Hello all,

Could someone please refresh my memory on how to use the push button
switch on the Digilent Digilab 2E board? The Webpack tool complains
about it.

A search with Google on the groups shows that this topic has come up
before, but no one actually actually posted a solution. All of the
posters either said it could be done, or posted links to the Digilent
web site where there was a solution (btndemo.vhd)

The links are all dead now as it seems Digilent is redoing their web
site....

Thanks.

Clyde
 
K

kal

Hello all,

Could someone please refresh my memory on how to use the push button
switch on the Digilent Digilab 2E board? The Webpack tool complains
about it.

A search with Google on the groups shows that this topic has come up
before, but no one actually actually posted a solution. All of the
posters either said it could be done, or posted links to the Digilent
web site where there was a solution (btndemo.vhd)

The links are all dead now as it seems Digilent is redoing their web
site....

Thanks.

Clyde

At this link there is a copy of it
http://mercury.easternct.edu/b/brooksr/web/Lab1.txt. Also checkout the
other files at http://mercury.easternct.edu/b/brooksr/web.

Kal
 
C

Clyde

Thanks Kal...

I did find it finally on their website, under reference materials and I
downloaded the file for board verification.

I had to declare an ibufg component and a new signal rst:

component IBUFG
port (I : in STD_LOGIC; O : out std_logic);
end component;

and instantiate it:

u1: ibufg port map (I => reset, O => rst);

I did this from memory last night but could not get it to go. The
reason was I failed to change reset in my process sensitivity list to
rst and the tool really complained about it. I'm all set now.

Thanks again.

Clyde
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,774
Messages
2,569,596
Members
45,132
Latest member
TeresaWcq1
Top