floating point library

S

salman sheikh

Hello,

Has anybody had any experience with the IEEE floating point library
specifically those at:

http://www.eda.org/fphdl/

Has anybody tried to synthesize them and how does one convert from fixed
point to floating point?
Thanks in advance.


Salman
 
M

Mike Treseler

salman said:
Has anybody had any experience with the IEEE floating point library
specifically those at:

http://www.eda.org/fphdl/

Not until I clicked on that link.
Has anybody tried to synthesize them and how does one convert from fixed
point to floating point?

Well, the link above says that only this package
is synthesizable:

http://www.eda.org/fphdl/vhdl/fphdl_base_pkg.vhd
http://www.eda.org/fphdl/vhdl/fphdl_base_pkg_body.vhd

The package contains conversion functions fp_to_<type>.


-- Mike Treseler
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Similar Threads


Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,581
Members
45,057
Latest member
KetoBeezACVGummies

Latest Threads

Top