Problem with real data type

H

Hrishi

I am using using the Xilinx project navigator Version 6.2i for writing
the VHDL code and synthesize it .I am facing a problem with the
signals defined with the real data type.The navigator indicates that
the code (with some signals defined as real ) is syntactically correct
but it gives an error during synthesis.It states this feature is not
supported. After checkin out for a solution we ended up finding that a
math_real package in ieee.std_logic_1164.all is copyright protected.So
actually am unable to understand wat to do to get teh package.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,581
Members
45,057
Latest member
KetoBeezACVGummies

Latest Threads

Top