ram

A

AMARO

Hi,

I'm trying to build a VHDL code for a RAM model with two address
decoders,
One is the row decoder and the second is column decoder
these decoders select a single cell (1-bit) during read or write
operation.

unfortunately most of the VHDL books and even the internet describe
only a single type of memory that comes with 8 bits wide data bus.

and declared like this
data : in std_logic_vector (7 downto 0);

I'm very keen to know how it can be modelled

could you please give me an answer to my questions



Cheers
allan martin
 
T

Tricky

Hi,

I'm trying to build a VHDL code for a RAM model with two address
decoders,
One is the  row decoder and the second is column decoder
these decoders  select a single cell (1-bit) during read or write
operation.

unfortunately most of the VHDL books and even the internet describe
only a single type of memory that comes with  8 bits wide data bus.

and declared like this
data : in std_logic_vector (7 downto 0);

I'm very keen to know how it can be modelled

could you please give me an answer to my questions

Cheers
allan martin

How is it any different? surely if you have a row and column address
you end up with a single data value in the end?
And row and column architecutre can still be a 1D array, with one of
row/column being the MSBs and the other being the LSBs of the address
bus.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,582
Members
45,059
Latest member
cryptoseoagencies

Latest Threads

Top