Verilog Implementation of FIR Filter

R

Rahul Iyer

HI,
I want the verilog implementation of N-Tap FIR filter.... I am bit in
a fix, to use a Distributed arithmetic or MAC unit... I suppose if I
am using Vertex 4 FPGA's that have inbuilt Multiplier, then I dont
need DA or MAC unit??
Kindly suggest...

Regards
Rahul
 
G

gally

HI,
I want the verilog implementation of N-Tap FIR filter.... I am bit in
a fix, to use a Distributed arithmetic or MAC unit... I suppose if I
am using Vertex 4 FPGA's that have inbuilt Multiplier, then I dont
need DA or MAC unit??
Kindly suggest...

Regards
Rahul

I think u can design by usin Matlab. There u can find a system
generate option in edatool design. You can design whichever filter u
want, and in which language u want, u can
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,579
Members
45,053
Latest member
BrodieSola

Latest Threads

Top