2d-filter in VHDL

N

noddy

Hi,
I am a student and am currently working on a project where part of it
involves me creating a 2d-filter in VHDL (I have to use a 3x3 filter
window with coefficients of small values). I was wondering if someone
could explain to me the best way to go about this because I am having
difficulty starting off. Just a little advice would be great and really
appreciated.

Thanks
Noddy
 
D

dutchgoldtony

Howya Noddy,

try looking at the Coregen tool if you're using Xilinx ISE. Project ->
New Source -> IP Core or something along those lines

Tony
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,780
Messages
2,569,608
Members
45,249
Latest member
KattieCort

Latest Threads

Top