Best way to generate a sine wave?

D

dhbrewer

For a project im to use a sine wave to do some manipulations on. I ve
looked through a couple core's in xilinx like DDS and CORDIC but they
seem to complicated for me being a first semester VHDL student. is
there a simpler way thats easy to input desired frequencies available?
This will probaly not be going on an FPGA.

DB
 
J

Jim Lewis

Take a peek at the package ieee.math_real.
It has all you need. Although IEEE does not currently
allow vendors to publish source for this package, you
can find some notes under the Modelsim help menu.
If you are not using modelsim, ask your prof or look
in the appendix of your book for further help.

Good Luck.

Cheers,
Jim
For a project im to use a sine wave to do some manipulations on. I ve
looked through a couple core's in xilinx like DDS and CORDIC but they
seem to complicated for me being a first semester VHDL student. is
there a simpler way thats easy to input desired frequencies available?
This will probaly not be going on an FPGA.

DB


--
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
Jim Lewis
Director of Training mailto:[email protected]
SynthWorks Design Inc. http://www.SynthWorks.com
1-503-590-4787

Expert VHDL Training for Hardware Design and Verification
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,054
Latest member
TrimKetoBoost

Latest Threads

Top