converting ahdl to vhdl

Z

zlotawy

hi,
i have project in ahdl (max plus II). Is it possible to convert it to vhdl?

I tried to use XPort, but there were errors.

zlotawy
 
A

Andy

hi,
i have project in ahdl (max plus II). Is it possible to convert it to vhdl?

I tried to use XPort, but there were errors.

zlotawy

Xilinx has an ahdl to vhdl translator, but the license is limited to
use with xilinx fpga's. Call Altera and tell them you're going to
switch devices if they don't translate it for you, or start providing
a tool that will do the same.

Most ahdl code I've seen was not really suitable to use in translated
form (not that the translation was bad, just the original design of
the circuit). Once translated, it may serve as a "truth" model to
compare in a testbench with the modified or re-written vhdl you come
up with.

Andy
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Similar Threads

New to VHDL... Trying to convert a 2-bytes number into an decimal 0
MAX6675 VHDL 0
VHDL: AXI-stream FIFO 2
[AHDL] 3
Some Cash for Vhdl coding 0
FFT in AHDL 2
AHDL problems 1
VHDL to machine code converter 1

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,013
Latest member
KatriceSwa

Latest Threads

Top