Generic Component Instantiation

Joined
Sep 26, 2008
Messages
1
Reaction score
0
I am instantiating a module N number of times, each time with different parameters as shown

Gen_LUTs: for i in 0 to N-1 generate
LUTs: LUT_generic generic map (LUT_Size(i),Log_Size(i),LUT_Width(i))
port map(....
Where LUT_Size, Log_Size, LUT_Width are arrays of integers.
The port sizes of IN/Outs depends upon the generics

How should the component be instantiated in the declarative part. Without i.
If not possible is there any better way to do this?
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,582
Members
45,057
Latest member
KetoBeezACVGummies

Latest Threads

Top