How widely used is the IEEE numeric_std package?

C

Chuck Roth

We are working on a second edition of "Digital Systems Design Using VHDL".
The present edition uses the std_logic_arith or std_logic_unsigned
package for arithmetic operations. We are debating whether to switch to
numeric_std for some of the examples in the textbook. Our question is: How
widely used is the numeric_std package in practice?

-- Charles H. Roth, UT Austin
 
M

Mike Treseler

Chuck said:
We are working on a second edition of "Digital Systems Design Using VHDL".
The present edition uses the std_logic_arith or std_logic_unsigned
package for arithmetic operations. We are debating whether to switch to
numeric_std for some of the examples in the textbook.

You should consider changing all of the examples.
I'll even check them for you.
Our question is: How
widely used is the numeric_std package in practice?

Most of the readers of this newsgroup are
advocates of ieee.numeric_std.
Count these up and see what you get:
http://groups.google.com/groups?q=vhdl+numeric_std+std_logic_arith

-- Mike Treseler
 
T

Thomas Entner

Mike Treseler said:
You should consider changing all of the examples.
I'll even check them for you.


Most of the readers of this newsgroup are
advocates of ieee.numeric_std.

FULL ACK
 
J

Jim Lewis

Chuck,
I would add that the VHDL standards groups are doing things
to compel you to move in this direction.

The plan for VHDL-2006 is for std_logic_1164 and numeric_std
to be included as part of 1076. Another part of the plan is to
expand what is locally static to allow array operations.
Combine both of these and array operations (from std.standard,
std_logic_1164, and numeric_std) within a case statement
will be permitted.

While this does not prevent people from using std_logic_arith,
std_logic_arith is not an IEEE standard and hence operations
in this package will not be locally static.

Best Regards,
Jim Lewis

P.S.
Current plans for vhdl-2006 is to include a new package
called numeric_std_unsigned.
We are working on a second edition of "Digital Systems Design Using VHDL".
The present edition uses the std_logic_arith or std_logic_unsigned
package for arithmetic operations. We are debating whether to switch to
numeric_std for some of the examples in the textbook. Our question is: How
widely used is the numeric_std package in practice?

-- Charles H. Roth, UT Austin

--
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
Jim Lewis
Director of Training mailto:[email protected]
SynthWorks Design Inc. http://www.SynthWorks.com
1-503-590-4787

Expert VHDL Training for Hardware Design and Verification
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,054
Latest member
TrimKetoBoost

Latest Threads

Top