multisim 8 and VHDL/Verilog.

M

Monty Hall

Any body have any experience on the subject matter? Using VHDL components
are seemless, however, how do you make your own and install it? The
components wizard, when specifying VHDL component, looks for VHDL exectuable
(.vx) files(presume .vdl/.vhdl are not .vx files.) How do you generate vx
files? How does one specify an architecture to use, etc? There is
absolutely no documents that discuss the matter @ EWB or on the web.

Any help appreciated

Monty
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,774
Messages
2,569,599
Members
45,175
Latest member
Vinay Kumar_ Nevatia
Top