Spartan 3an Rotary Encoder

Joined
Jun 23, 2009
Messages
1
Reaction score
0
there is anyone can show me an example how to use Xilinx spartan 3an rotary encoder.
just simple vhdl project when rotary turn right then led display sift to right.

Im trying so many ways and the state never change from initial state.
thx before

rotary works
clkwise rot_a = '1' rot_b = '1'
rot_a = '0' rot_b = '1'
rot_a = '0' rot_b = '0'
rot_a = '1' rot_b = '0'
rot_a = '1' rot_b = '1'

I use if inside if until all condition is covered. and didnt works
 
Last edited:

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,756
Messages
2,569,534
Members
45,007
Latest member
OrderFitnessKetoCapsules

Latest Threads

Top