Sum of element array

V

VHDL_HELP

hi every body ,

please how to calculate the sum of array elements .
for example an array of 5 elements with type std_logic_vector(3 down
to 0) .
thank you
 
A

anupam.jain21

hi every body ,

please how to calculate the sum of array elements .
for example an array of 5 elements with type std_logic_vector(3 down
to 0) .
thank you

How about this?

sum<= array(0) + array(1) + array(2) + array(3)+array(4);

regards
Anupam Jain
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,483
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top