vhdl compiling error message

A

Amit

Hello group,

In the first part of a code I see:

use work.analogpacktemp.all

and when I run the compilation command (vcom) I get the following
error:


# ** Error: (vcom-11) Could not find ./work.analogpacktemp.

In the project files I have a file called analogpacktemp.vhd which
containts:

package analogpacktemp is
subtype voltage is real;
subtype current is real;
end analogpacktemp;

What am I missing here? your help will be appreciated greatly.

Regards,
amit
 
P

Paul Uiterlinden

Amit said:
Hello group,

In the first part of a code I see:

use work.analogpacktemp.all

and when I run the compilation command (vcom) I get the following
error:


# ** Error: (vcom-11) Could not find ./work.analogpacktemp.

In the project files I have a file called analogpacktemp.vhd which
containts:

package analogpacktemp is
subtype voltage is real;
subtype current is real;
end analogpacktemp;

What am I missing here? your help will be appreciated greatly.

You're missing: vcom analogpacktemp.vhd

Design units made visible by a use clause must have been compiled before the
design unit that contains that use clause.
 
A

Amit

You're missing: vcom analogpacktemp.vhd

Design units made visible by a use clause must have been compiled before the
design unit that contains that use clause.


Thanks, right I was using a wrong syntax in do file.

Regards,
Amit
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,054
Latest member
TrimKetoBoost

Latest Threads

Top