How to Create a Library on VHDL? (07/11/07)

Joined
Nov 7, 2007
Messages
1
Reaction score
0
Can we ever create a library or it is just the package we create?

I want to create a library using Encoder/Decoder, Converter/Inverter, NAND/NOR, Memory, Multipexer/Demultiplexer; I could just create a package for each one of them and call them whenever i want to use it, isnt it?

However, dont the library ieee already have them declared?

any reply pleaaaasssse.

Much appreciated.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,774
Messages
2,569,596
Members
45,135
Latest member
VeronaShap
Top