Mixed HDL Simulation-Query

Joined
Jul 7, 2006
Messages
1
Reaction score
0
Hello Everyone,

I was working in the simulation..
I have verilog TB and VHDL instance..When I try to simulate..Modelsim gives unresolved reference error.

example:

DATA = tb.U1.U2.U3.ram[addr_tmp[ADDRWID1:1]]:

U1 - testbench instance Name(dut).
U2,U3 - VHDL insntance(DUT Model instances)
ram is the signal with array type declaraion.

In the tb(VERILOG) trying to access the 2-dimentional array available in VHDL(Instance)..

If my instance is verilog no issues..when it comes to vhdl ,simulator gives error. So can you anyone give me the reason why its failing..Thanks in Advance..

-Sathish
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,776
Messages
2,569,603
Members
45,191
Latest member
BuyKetoBeez

Latest Threads

Top