ram model

A

ashutosh_k

hi

I have a text file that contains about 50,000 hex words (each 8 bits).
now i have to read these words into a code and do some process.
textio is not supported in vhdl..so i have to send these words to a ram
on the target borad.(am i right?) how do i do this ...sending words
from a text file to a ram..
i read this on the group about ram model..can some one sujjest the
process to do the same. some good links for this

ashu
 
A

Andy

If you only need to initialize the ram (in simulation), then you can
write a function that uses text-io to compute the initial contents,
then returns the array data. Then call that function when you
declare/initialize the array:

signal ram : my_array := init_array(file);

Andy
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,774
Messages
2,569,599
Members
45,175
Latest member
Vinay Kumar_ Nevatia
Top