Reading internal signals through a testbench.

C

CODE_IS_BAD

Hi all,
Through a testbench (Verilog or VHDL), how do I read the values of
signals of a sub module through a top module? This is required to match
and assert if the program is working correctly. Please help. Thank you.

Best Regards,
 
V

vizziee

Through a testbench (Verilog or VHDL), how do I read the values of
signals of a sub module through a top module?
Use the 'signal spy' feature of ModelSim (but before that, check if
your version of ModelSim supports it or not).
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,581
Members
45,056
Latest member
GlycogenSupporthealth

Latest Threads

Top