shared buses in Max Plus

J

Jluis

Hi everyone!!!

I´m implementing a PROCESSOR in VHDL, but I have a problem when I try
to connect the componets in my top file. The problem is when I assign
many sources at one signal(on the Port Map), i.e. My signals are
conected at one bus. like this::

U1: instreg PORT MAP( opcode => opcode,datain => bus, DIRout => buss,
Kout => buss, dataout => buss, etc.....);

U2: proc PORT MAP(datain => buss, dataout => buss, etc....);

I also read that I can implement a Resolution Functions to solve this
problem, but You know, the resolution function is not supported for
Max-Plus II. ....

does everybody know how I can solve this problem or know other way to
implement it?

I used MaxPlus and FPGA from ALTERA,
thanks in advance
best regards

JLuis
MÉXICO
 
R

Ralf Hildebrandt

Jluis said:
I´m implementing a PROCESSOR in VHDL, but I have a problem when I try
to connect the componets in my top file. The problem is when I assign
many sources at one signal(on the Port Map), i.e. My signals are
conected at one bus. like this::

U1: instreg PORT MAP( opcode => opcode,datain => bus, DIRout => buss,
Kout => buss, dataout => buss, etc.....);

U2: proc PORT MAP(datain => buss, dataout => buss, etc....);

What do you expect, if DIRout of instreg drives '0' and dataout of proc
drives '1' to signal buss?
This is not allowed.

There two common ways to solve it:

* classic mux: Depending on an additional signal (often an address) the
mux takes one signal and muxes it to the bus.

* tri-state mux: Depending on additional signals, one and only one
component drives to the bus. All others drive 'Z'.

I also read that I can implement a Resolution Functions to solve this
problem,

std_logic(_vector) is a resolved signal, that allows you to use
tri-state muxes.

but You know, the resolution function is not supported for
Max-Plus II. ....

No tri-state muxes allowed? -> Take classic muxes.

Ralf
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,055
Latest member
SlimSparkKetoACVReview

Latest Threads

Top