std_logic_vector to real

Joined
Apr 10, 2010
Messages
4
Reaction score
0
Hi ,

How to convert an 8-bit std_logic_vector to real in vhdl ?
or vice versa ?
 
Last edited:

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,770
Messages
2,569,584
Members
45,077
Latest member
SangMoor21

Latest Threads

Top