Wait statement error

S

Shannon

I'm starting to use ModelSim (the version included with Quartus II)
for my simulations. I've been a long time user of the waveform
simulator in Quartus but I thought I should stop using stone-knives
and bear-skins.

One problem I've hit early on: I have the following process in my
testbench:

DACclock : PROCESS
BEGIN
wait for 4 ns; DAC_clk <= not DAC_clk;
end PROCESS DACclock;

Seems pretty straightforward eh? ModelSim likes it and produces the
clock waveform that I would expect. Quartus however complains:

Error (10533): VHDL Wait Statement error at HighSpeedDACTB.vhd(72):
Wait Statement must contain condition clause with UNTIL keyword

Huh?

Shannon
 
T

Tricky

I'm starting to use ModelSim (the version included with Quartus II)
for my simulations.  I've been a long time user of the waveform
simulator in Quartus but I thought I should stop using stone-knives
and bear-skins.

One problem I've hit early on: I have the following process in my
testbench:

DACclock : PROCESS
BEGIN
   wait for 4 ns; DAC_clk  <= not DAC_clk;
end PROCESS DACclock;

Seems pretty straightforward eh?  ModelSim likes it and produces the
clock waveform that I would expect.  Quartus however complains:

Error (10533): VHDL Wait Statement error at HighSpeedDACTB.vhd(72):
Wait Statement must contain condition clause with UNTIL keyword

Huh?

Shannon

Id raise it as an Issue with Altera, but given that they include
Modelsim AE, they'll probably just tell you to use that instead.
 
J

JimLewis

Shannon
Is this from the Quartus synthesis tool? You only need to
tell the synthesis tool about your design. There may be a
place to mark the file as a testbench file - make sure to
do this as synthesis tools don't like many things that are
part of the testbench.

Cheers,
Jim
SynthWorks VHDL Training
 
Joined
Jan 29, 2009
Messages
152
Reaction score
0
You may need to place the testbench in a seperate file (just guessing it might be an issue here as well, this seems the case with the Xilinx tool anyway)
 
S

Shannon

Shannon
Is this from the Quartus synthesis tool?  You only need to
tell the synthesis tool about your design.  There may be a
place to mark the file as a testbench file - make sure to
do this as synthesis tools don't like many things that are
part of the testbench.

Cheers,
Jim
SynthWorks VHDL Training

It was from the "Analysis & Elaboration" tool. But I think I get the
picture now. When I tried to archive the whole project it said that
it had to do "Analysis & Elaboration" first. I just clicked on
"yes". I don't think it understands that it is a testbench project
and Elaboration is silly. (At least I think that is what is going on.)
 
M

Mike Treseler

Shannon said:
It was from the "Analysis & Elaboration" tool. But I think I get the
picture now. When I tried to archive the whole project it said that
it had to do "Analysis & Elaboration" first. I just clicked on
"yes". I don't think it understands that it is a testbench project
and Elaboration is silly. (At least I think that is what is going on.)

Quartus only knows about vhdl for *synthesis*.
Quartus cannot use vhdl code for simulation.
The quartus sim tool only knows about altera netlists and waveforms.
For a vhdl testbench, use modelsim.

-- Mike Treseler
 
S

Shannon

Quartus only knows about vhdl for *synthesis*.
Quartus cannot use vhdl code for simulation.
The quartus sim tool only knows about altera netlists and waveforms.
For a vhdl testbench, use modelsim.

     -- Mike Treseler

Agreed.

Next step is get get ModelSim to understand my memory initialization
file.

Shannon
 
S

Shannon

Quartus only knows about vhdl for *synthesis*.
Quartus cannot use vhdl code for simulation.
The quartus sim tool only knows about altera netlists and waveforms.
For a vhdl testbench, use modelsim.

     -- Mike Treseler

Agreed.

Next step is get get ModelSim to understand my memory initialization
file.

Shannon
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,776
Messages
2,569,603
Members
45,188
Latest member
Crypto TaxSoftware

Latest Threads

Top