clock-domain-crossing simulation in Altera

Joined
Nov 15, 2007
Messages
1
Reaction score
0
Hi all

Does anyone knows of a way to tell Quartus that a particular FF is a clock-domain-crossing FF so that post-route netlist instantiates a FF for that, which does not propagate "X".

In Xilinx this is done by applying the ASYNC_REG attribute. But haven't found anything similar in Altera.

regards,

Kostas
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,011
Latest member
AjaUqq1950

Latest Threads

Top