Clock Frequency Detection

Joined
Oct 30, 2007
Messages
1
Reaction score
0
Hello all,

I'm pretty new at VHDL and was wondering if there is any way to measure an unknown clock frequency fed to an FPGA?

I'm going to be working with a clock that can vary from 0-45 MHz and need to be able to measure it fairly accurately.

Thanks,

Tony
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,054
Latest member
TrimKetoBoost

Latest Threads

Top