Clock task from Verilog to VHDL

Joined
May 14, 2009
Messages
2
Reaction score
0
Hello, how can I declare from verilog -->> to VHDL
initial
clk = 1'b0;
always
clk = #10 ~clk;


And these
`define RESET_TIME 8

initial
reset = 1'b1;
task reset;
begin
reset <= #1 1'b1;
tk_wait(`RESET_TIME);
reset <= #1 1'b0;

task tk_wait;
input[31] count;
integer i;
begin
for(i=0;i<=count;i=i+1)
@(posedge clk);
end
endtask


Anybody, please help me in solution these a little problem, cause I`m new in VHDL. THANKS
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,054
Latest member
TrimKetoBoost

Latest Threads

Top