Don't know what to do: std_logic_1164.v93 has changed...

D

drseek

Hi,

I installed the latest GHDL binary build (0.22) on a SuSE 10.0 machine
and get the
following error after calling ghdl -a and2.vhdl:

and2.vhdl:2:10: file ../../../src/ieee/std_logic_1164.v93 has changed
and must be reanalyzed

I don't know what to do.

The GHDL binary is located at /usr/local/bin the libs at /usr/local/lib
The path ../../../src/ieee is the same as /src/ieee which does not
exist (neither in the
binary distribution nor at my file system). If I copy the hole ieee lib
files to /src/ieee
I get the same error.

How can I rebuild the ieee library or is something else wrong?

Configuration:

ghdl --dispconfig:
compiler command: /usr/local/libexec/gcc/i686-pc-linux-gnu/4.1.0/ghdl1
assembler command: as
linker command: gcc
library directory: /usr/local/lib/gcc/i686-pc-linux-gnu/4.1.0/vhdl/lib
compiler path: /usr/local/libexec/gcc/i686-pc-linux-gnu/4.1.0/ghdl1
assembler path: /usr/bin/as
linker path: /usr/bin/gcc
default library pathes:
/usr/local/lib/gcc/i686-pc-linux-gnu/4.1.0/vhdl/lib/v93/std
/usr/local/lib/gcc/i686-pc-linux-gnu/4.1.0/vhdl/lib/v93/ieee
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,537
Members
45,021
Latest member
AkilahJaim

Latest Threads

Top