half period pulse

J

john

Hello,

I need to genearte a pulse for half of the clock cycle. for example if
clock = '1' then pulse= '1', if clock ='0' then pulse ='0'. So, I do
not want the pulse to be high for one clock period but only for half
of the clock period. I want to load the data into the buffer using
this pulse.

Regards,
John
 
Joined
Mar 10, 2008
Messages
348
Reaction score
0
From the description you give could you just use clock signal directly ;-)

Have you consider using both rising and falling edge of the clock signal?

If your using a DCM will it be possible the double the clock frequency and get the pulse your asking for.

Your welcome
Jeppe
 
Last edited:
D

David R Brooks

john said:
Hello,

I need to genearte a pulse for half of the clock cycle. for example if
clock = '1' then pulse= '1', if clock ='0' then pulse ='0'. So, I do
not want the pulse to be high for one clock period but only for half
of the clock period. I want to load the data into the buffer using
this pulse.
First generate a single pulse, synchronous to the clock.
Then OR (or AND, according to taste on polarity) that pulse with the
original clock.
If your original "single pulse" runs for several clocks, this tactic
will give you a burst of clock pulses.
Some old TTL chips (74166) had the OR gate on-chip, to support this kind
of device.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,054
Latest member
TrimKetoBoost

Latest Threads

Top