How to compile Xilinx Timing-Simulation library SIMPRIM under NC-Sim

U

uvbaz

Hallo Everyone,

By the Post-PAR Simulation under NC-Sim, i need to compile the Xilinx
Library SIMPRIM, the Solution of Xilinx Homepage are here:

http://www.xilinx.com/xlnx/xil_ans_display.jsp?iLanguageID=1&iCountryID=1&getPagePath=5474

but i do not want to use solution 2, because of others reasons, a
pre-compiled libraries are not possible.

And i do not want to use solution 1 too, because i want to do the
simulation with ncvlog+ncelab+ncsim step by step.

Now the problem is:
How can i do this

ncverilog -y $XILINX/verilog/src/simprims $XILINX/verilog/src/glbl.v
+libext+.v <testfixture>.v <design>.v

with ncvlog+ncelab+ncsim?? I know it must be in cds.lib, hdl.var and
so, but how?

Thanks!!!
 
U

uvbaz

Thanks, Krishna

That works, but it is not my ......... anticipation

But does not matter, thanks again. :)

Cheng
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,582
Members
45,057
Latest member
KetoBeezACVGummies

Latest Threads

Top